Article ID: 000079458 Content Type: Troubleshooting Last Reviewed: 09/11/2012

Is VHDL 2008 supported with Qsys and SOPC Builder?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

There is a known limitation with the Component Editor in SOPC Builder and Qsys. It does not allow the user to specify which language version to use for the VHDL source file analysis.

To workaround this issue, add the following comment line at top of the custom component VHDL file.

-- altera vhdl_input_version vhdl_2008

This directive instructs the front-end to use VHDL 2008 for the remaining portion of the file.

For information on VHDL 2008 constructs defined in the IEEE Std 1076-2008 version that are supported by Quartus II, refer to Quartus II Help on VHDL 2008 support at:

http://quartushelp.altera.com/current/master.htm#mergedProjects/hdl/vhdl/vhdl_list_2008_vhdl_support.htm

Related Products

This article applies to 1 products

Intel® Programmable Devices