Article ID: 000075609 Content Type: Product Information & Documentation Last Reviewed: 07/22/2013

How do I infer a very small memory when using Quartus II Integrated Synthesis?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

When running Quartus® II synthesis, inferred memories may not be mapped to the technology block memory structures if they are very small. These limits are described in the topic Auto RAM to Logic Cell Conversion in the Quartus II Integrated Synthesis (PDF) chapter of the Quartus II Handbook.

Resolution

To infer block memory for memories that are less than these limits, perform one of the following options:

  • Include the following line in your project Quartus II Settings File (.qsf):
    set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
  • In the Quartus II GUI, on the Assignments menu, click Settings to open the Settings dialog box, select the category Analysis & Synthesis Settings, and then click More Settings. Turn on the setting Allow Any ROM Size For Recognition.

Related Products

This article applies to 1 products

Intel® Programmable Devices