Article ID: 000085855 Content Type: Product Information & Documentation Last Reviewed: 09/11/2012

How do I create an SOPC Builder component that references a VHDL package using the Component Editor?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

SOPC Builder versions 7.1 and 7.2 does not allow you to include both packages and VHDL top-level files in the Component Editor.  SOPC Builder will generate an error message indicating that it can't reference the design units specified in your package when you import your top-level file into the Component Editor.

As a workaround create a temporary version of your top-level VHDL file that deletes any references to packages.  Also ensure that the VHDL file only contains an entity with no architecture.  Then import this file into the Component Editor.  After importing the temporary top-level file you can now import your package as well.  Then use the Signals tab of the Component Editor to define your interfaces.  Once you have saved your component delete the temporary VHDL file and revert back to your original top-level file.  The component’s _hw.tcl file will now correctly reference your package.  You will need to revert back to the temporary top-level file if you ever need to edit your component in the Component Editor.

This issue will be fixed in a future version of the SOPC Builder.

Related Products

This article applies to 1 products

Intel® Programmable Devices