Article ID: 000079430 Content Type: Troubleshooting Last Reviewed: 10/07/2016

Does the Quartus Prime software support IEEE fixed_pkg and float_pkg libraries in VHDL-2008?

Environment

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    IEEE fixed_pkg and float_pkg libraries are not supported in the Quartus® Prime Standard edition and Lite edition.

    You may see below error messages in Analysis & Synthesis stage:
    Error (10481): VHDL Use Clause error at <file name>.vhd : design library "ieee" does not contain primary unit "fixed_pkg". Verify that the primary unit exists in the library and has been successfully compiled.

    or

    Error (10481): VHDL Use Clause error at <file name>.vhd: design library "ieee" does not contain primary unit "float_pkg". Verify that the primary unit exists in the library and has been successfully compiled.
     

    Resolution The Quartus Prime Pro edition does supports IEEE fixed_pkg and float_pkg libraries.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices