Article ID: 000074835 Content Type: Error Messages Last Reviewed: 07/02/2014

Error (10779): VHDL error at < filename.vhd >: expression is not constant.

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem in the Quartus® II software version 13.1 and earlier, you may see this error message if you use a variable as an index for signal.

    For example :

    data(a downto b) where a and b are variables

    Resolution

    To workaround this problem, use a 3rd party synthesis tool or avoid this code construct.

    This problem is scheduled to be fixed in a future release of the Quartus II software.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices